Quantcast
Channel: Sigasi - Discussion Forum
Viewing all articles
Browse latest Browse all 127

Feature Request: Missing Record Element Checking

$
0
0

It would be very useful to have errors checking when declaring record to ensure that all elements have been defined. Currently I don't see these errors until I compile. Something similar to missing port mappings would be great:

library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
package testPackage istype test_rec_type isrecord elem1 :std_logic; elem2 :std_logic;endrecord;constantTEST_CONST : test_rec_type :=-- Should have error here: "Missing element 'elem2'"( elem1 =>'1');
endpackage;

Viewing all articles
Browse latest Browse all 127

Trending Articles