Quantcast
Channel: Sigasi - Discussion Forum
Viewing all 127 articles
Browse latest View live

record fields in wait statement incorrectly flagged as errors

$
0
0

This is seen in 2.14, unsure if it also existed before.

libraryIEEE;
useIEEE.std_logic_1164.all;
useIEEE.numeric_std.all;
 
entity test is
endentity;
 
architecture rtl of test is
type rectype isrecord time_field :time; slv_field :std_logic_vector(7downto0);endrecord;
 
signal test_rec : rectype;
signal test_time :time:=0ns;
 
begin
test_time <= to_integer(unsigned(test_rec.slv_field)) * test_rec.time_field;
 
process
beginwaitfor to_integer(unsigned(test_rec.slv_field)) * test_rec.time_field;--this line is incorrectly mark

read more


Sigasi 2.14: External Files

$
0
0

I was actually fond of the way Sigasi treated external files, using the external files project.
This way you could still easily set the library and use external references.
And you could open several files which are part of an (external) project and easily switch between them.

Is it still possible to set the library and external references for external files?

Bug with record value in type range.

$
0
0

I'm getting an error everywhere that I use a value from record type in the range portion of a type declaration.

If I have the following package with a record in it:

package TestPack istype rec isrecord
    a		:natural;endrecord;
endpackage;

Now when I use try to use this:
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.TestPack.all;
entity Test isgeneric( gen_rec : rec );port( test :instd_logic);
endentity Test;
architecture arch of Test issignal test1 :std_logic

read more

Feature Request: Quick Fix option of automatically adding new state to enum type

$
0
0

Sometimes you want to just make up the states of your statemachine as you go. It would be nice if there was a quickfix that would automatically add the state you just made up, to the enum type you are using for your statemachine states.

"add port" missing

$
0
0

Hello!
i am new to Sigasi, and am trying to get started. Why can't i find the "add port" command when I right-click on the entity in the outline view? The only option given is "Set As Top Level".

wheres_the_chickenwheres_the_chicken

Thanks!
Che

Trouble Mapping Altera and Altera_MF Libraries

$
0
0

I have Sigasi 2.13 and have tried mapping Altera libraries both manually and using quickfix. Both did not work for me.

My libraries are located in the following locations: – Altera: "C:\altera\11.0\quartus\libraries\vhdl\altera"– Altera MF: "C:\altera\11.0\quartus\libraries\vhdl\altera_mf"

My first step was dragging those folders to the Project Explorer's "Common Libraries" folder. I used links for the folder and all files underneath.

read more

Getting error "vcom: 'my_package' is not a library or a package."

$
0
0

Hi,

I my project there's a file called my_file.vhd that includes a package my_package.vhd from the same work direcory. But Sigasi can't find this package. Both modelsim 10.1d and quartus 13 do not complain. Simulation and synthesis is all fine. It seems to be a Sigasi issue. I use the vcom toolchain in Sigasi. This is the error log:


** Error: (vdel-11) Could not find work.my_top_file.

/tmp/SigasiCompileCache3488566785675674768/my_subproject/vcom > /opt/modeltech/bin/vlib work
** Warning: (vlib-34) Library already exists at "work".

read more

Modesim simulation

$
0
0

Hi,

I just found information about extended simulation configuration in HTD1.
So the question how to configure simulation in Version 2.
How to adapt sim scripts and doing batch mode ?

regards,
thomas


Disable shortcut tooltips

$
0
0

Hi,

everytime I use a keyboard shortcut (Strg+C, Strg+V…) in the editor a yellow tooltip appears showing this shortcut in textform. Is there an option to disable only this kind of tooltip?

Denis

Feature Request: List/add unmapped ports

$
0
0

Frequently when I add a port to an entity I want to then update my instaitations with this new port. I'd like to see a way where the instatiation has a quick way to add the unnaped ports. Manually adding the ports is a pain and error prone, it'd be nice to catch this quickly durning design entry before getting to sim.

Xilinx Unisim and Corelib library as project => Sigasi keeps accessing these files

$
0
0

Because we work in a team with a version control system, I have set up the Xilinx corelib and unisim libraries as seperate projects in our version control system. This way one person can control which labraries have to be used, and we are sure everyone is using the same libraries.

In Sigasi we reference our own project to these "library" projects.

Everything works well.

read more

Library unmap using wildcards...

$
0
0
Is this possible in the .library_mapping.xml file?

Currenty to unmap every subdirectory zzz you need to have a seperate entry for each

Can you do something like this (which doesn't work)…

undo/redo toolbar buttons

$
0
0

Hi,

Is it possible to add the undo, redo, buttons to the toolbar please?

Thanks,
Dan.

Simprim library ...

$
0
0

Hello Everybody …
I would like to present a problem hoping that someone can provide me a possible solution.
At the moment I'm working on a project that uses SIMPRIMS lib from Xilinx.
I can configure all the project to work with that, but when is time to compile it take a huge amount of time and at the end I've a memory exception "gc overhead limit exceeded"
Before using Sigasi I had a folder with all the library compiled in it.
Is there a way to use this "precompiled library" and do not lose all the the great features of Sigasi ?
I was thinking to make compile script but in this way,

read more

Problem with the Free Starter Edition

$
0
0

I have the newest version of the Free Starter Edition, downloaded and installed last night, I ran through the tutorial on the right side panel but i didnt say anything about running the vhdl tutorial program. I tried hitting the play button (It looked like a play button) and i got several menus and i have no idea what do with those menus. So my question is how do i actually run a vhdl program that ive created? Im new to vhdl and this IDE so i was really hoping for some good feedback on this. I have lots of experience with many other ide's for other languages i just cant figure this one out.

read more


Feature request

$
0
0

Is it possible that every occurrence of the symbol which the cursor is over are highlighted, just as in eclipse JDT?
It is very handy feature so the developer can scroll with the mouse and see all occurrences of a symbol which is not the case in sigasi.

sigasisigasi

Eclipse JDTEclipse JDT

refactoring very slow

$
0
0

Hello I'm using sigasi 2.12 on an win7 64 bit machine.
Currently I'm renaming/refactoring a lot of signals with (shift+alt+r) and wonder if there is a way to speed this up.
I get this "In Progress" button which lasts 3 seconds, which is way too long for me :)

Isn't there a faster way to do this?

Thanks for helping

How to install the plugin on a machine without internet access?

$
0
0

I have a machine on a company standalone network and wish to install the Sigasi Eclipse plugin. Is it possible?

Feature Request: Auto-complete for arbitrary VHDL attribute values

$
0
0

To generalize the feature request, take a look at the following code:

attribute gender :string;attribute gender of john :signalis"male";attribute gender of jane :signalis"female";

What I’m proposing is: Sigasi should internally have a memory of all the values that have been used for the attribute “gender” (or whatever any tool-specific name may be, Sigasi shouldn’t care). In this case the values are “male" and "female". So when I’m later declaring a new signal and its corresponding attribute, say for “jeanette”:
 

read more

Sigasi Crash

$
0
0

Hi,
Hope this is the right place to ask this as I wasn't able to find any other bug report system.
The Java Runtime crashes (almost randomly) after a few minutes of starting Sigasi, upon actions such as building a project or opening a file, etc. I'm on Fedora 19 ×64 and running the latest version of Sigasi on an evaluation license.

read more

Viewing all 127 articles
Browse latest View live