Quantcast
Channel: Sigasi - Discussion Forum
Viewing all 127 articles
Browse latest View live

Component Generic List and "Could not find declaration corresponding to "

$
0
0

Using Sigasi 2.28.0.201504210741 as Eclipse plugin here. Using VHDL-2008.

Component declarations that have a generic list containing generic declarations that use previously declared generics show the error "Could not find declaration corresponding to 'generic'" -- only upon first instantiation.

read more


Detect objects of type std_logic/std_logic_vector

$
0
0

Hi,
my current project's coding guidelines state that I should not use the std_logic/std_logic_vector types and use std_ulogic/std_ulogic_vector instead. Is there a way I can configure Sigasi to show error markers on std_logic/std_logic_vector occurences?

Thanks,
Guy.

Standalone Application Keyword Reformatting Bug

$
0
0

Hi,

I'm using the standalone application (2.29.0.20150630014) in CentOS 6.6 with a Pro license.
When I go to reformat my VHDL, it converts all of my keywords to lowercase. Since I don't have a premium license, I can't toggle this behavior off. Shouldn't the pro version simply leave my keyword capitalization alone?

This did NOT happen in the eclipse plugin version, although it wasn't quite as up to date (2.27, I think).

Thanks,
Neil

MD5 Hash Error Eclipse Plugin

$
0
0

I've been unable to successfully install VHDL plugins from scratch or update older versions from previously successful installs.

read more

Vivado Library issues

$
0
0

Hello,
So i have a project i built that contains the Vivado library UNISIM. I imported the project into Sigasi and it doesn't know about the declarations of numerous components out of this UNISIM library, so i am plagued with numerous errors. I thought i had read somewhere that Sigasi had some kind of support for these commonly used libraries. If not, is there some work around for this? thanks

--I have tried clicking on the "configure library unisim (vivado)" option yet it does not seem to do anything but scroll to the top of the page, and the error remains.

Sigasi has the vision that graphic editing is a dead end

$
0
0

Sigasi has the vision that graphic editing is a dead end. Instead, we take the dual path of (1) making it easier to write code and (2) supporting graphical representations of the code that you write.

I guess adding full graphical capability is not that easy but I am sure you don't really believe this. If you do then I would suggest you get a demo of Mentor's HDL Designer, graphical design entry definitely has its place (and advantages) in EDA design,

Regards,
Hans.
www.ht-lab.com

Feature Request: Resolve calculations with functions

$
0
0

Hi there,

I'd like to request a new feature.
Lots of constants are calculated with functions. E.g. type casts or own written functions.
Until now sigasi only resolves constant calculations, when they contain explicit values.

I prepared a minimum example below:

library ieee;use ieee.std_logic_1164.all;use ieee.numeric_std.all;use ieee.math_real.all; 
package math_pkg isfunction log2ceil(a :positive)returnnatural;endpackage; 
packagebody math_pkg isfunction log2ceil(a :positive)returnnaturalisbeginreturnNATURAL(ceil(log2(REAL(a))));

read more

Viewing all 127 articles
Browse latest View live