Quantcast
Channel: Sigasi - Discussion Forum
Viewing all 127 articles
Browse latest View live

BUG: Sigasi does not recognize generics from a generic package in a record.

$
0
0

Sigasi fails to recognize the constraints provided by the generics for a package in a record type instantiation.

When the record is used the tool claims it cannot find the instantiation of that signal for all instances where the record declaration is used.

library ieee;use ieee.std_logic_1164.all;use ieee.numeric_std.all;use ieee.math_real.all; 
package fifo_interface_package isgeneric(INPUT_WORD_WIDTH        :natural:=16;OUTPUT_WORD_WIDTH       :natural:=16;LOG_2_INPUT_WORDS       :natural:=8); 
    type fif

read more


BUG: multiple records in function

$
0
0

Sigasi doesn't like it when there are multiple records expanded in a function call.

read more

Refactor->Rename Element broken in 2.19?

$
0
0

Refactor->Rename Element doesn't seem to work in SIgasi 2.19

Auto-Remove of Trailing Whitespaces

$
0
0

Hi,

is there an option to auto-remove trailing white spaces when saving a file?

Denis

Update Eclipse?

$
0
0

Hi,

is it possible to update eclipse manually in the stand alone version? I want to install a plugin which only works with eclipse 4.0 or higher.

Kind regards,
Denis

vhdl plugin without an acces to the internet

$
0
0

Hi,
I shall not connect my developement linux computer to the internet and I therefore need to install the eclipse plugin offline. Is it possible to download old school plugin files for my offline eclipse ?

Regards,
Alexandre.

Feature request: checking validity of attributes

$
0
0

Say I have mis-copy-and-pasted this code:

variable count          :natural;attribute keep of count :signalis"true";-- should be "variable"!

It would be great if Sigasi would flag the fact that I need to use the specifier "variable" in the 2nd line, and offer a quick fix too.

Thanks!

clicking on a variable/parameter and the highlight

$
0
0

When I click on a parameter or leave my cursor head in the middle of the word, sigasi highlights all other instances of it. What is this called and where can I go to change the colour of the highlight?

Thanks,


Wrong compile order with entity instantiations

$
0
0

Hi

In my project i used the old component instantiation with the component definition in the architecture section. I used the XILINX ISE toolchain to compile the project and everything works fine. But now I replaced most of the instantiations with the easier entity instantiation and deleted the declaration in the architecture. It seems now that Sigasi has often problems to detect the right compilation order. I get a lot of "ISim: Cannot find in library " messages.

read more

BUG: Resolving of overloaded functions

$
0
0

Hi, I ran accross this error message in one of my packages, which actually compiles fine under Modelsim 10.1 and Xilinx ISE 14.2:
Could not find declaration corresponding to 'c'.
I know that a safe solution would be to just add t_flags_1'(..), but as long as the record field names are not overlapping the function call is unambiguous.

read more

Project XXX has circular project references. External compilation is aborted.

$
0
0

I get this message when using modelsim as external compiler.
It has been a while since I've used modelsim as compiler. A colleague of mine says he got this error since the V2.19.201401200706

We are using seperate projects we reference to as libraries. Maybe this causes the circular reference?

Minor bug, range attribute of array is highlighted in purple, when other attributes are not.

$
0
0

In the following simple snippet of code:

library ieee;use ieee.std_logic_1164.ALL; 
entity test isendentity test; 
 
architecture RTL of test istype mytype is array  (integer range<>)ofstd_logic;variable x :integer:= mytype'range;variable y :integer:= mytype'length;begin 
endarchitecture RTL;

Sigasi highlight the attribute range in "mytype'range" in purple. The attribute length is not purple. I think sigasi gets confused because range is a keyword in other contexts.

/Klaus

Library not compiled for modelsim

$
0
0

We have our own library setup as a project.
Because of files which are generated by xilinx core generator; this library contains file which actually belong to another (xilinx) library. So I map these files to those libraries.
As long as I don't use the modelsimcompiler everything works fine.

But when using the modelsim compiler the libraries aren't created correctly.
Only one library is compiled with the "vcom -93 -work" command; but for the other libraries only vlib and vmap are used.

I compiled these libraries manually using the makefile.

read more

Auto-Formatter: Respect lines in type declarations

$
0
0

Hi,

in my type declarations I usually declare one state per line. This enhances the readability in large state machines.

type t_state is(
    state_0,
    state_1,
    state_2
  );

Unfortunately, the auto-formatter turns it into one line:

type t_state is(state_0, state_1, state_2);

Is there an option to tell the auto-formatter to respect the lines (in at least the type declarations)?

Denis

Emacs comment template for Sigasi

$
0
0

Hi,

since a lot of people use Emacs for VHDL (including me sometimes) it would be usefull to add a shortcut for an Emacs-style comment template to Sigasi:

-------------------------------------------------------------------------------
--
-------------------------------------------------------------------------------

In Emacs this is called "display comment".

Denis


How to generate a dependencie file in sigasi2

$
0
0

Dear Support team,
In the former version of sigasi, ther was a dependencies.csv file generated,
this was nice to use as input file for other tools.
Is there any way to generate such a file in sigasi2?

Kind regards
Holger

Occurance highlighting doesn't work for ports?

$
0
0

Hi,

I'm not getting the occurance highlighting for ports to work. E.g. (reduced example):

entity ent isport(data_clk :instd_logic);-- 1.endentity; 
architecture arch of ent isbegin 
  inst:BUFRportmap(
      I    => data_clk, -- 2.
      O    => data_clk_bufr); 
end arch;

Setting the marker in data_clk at 2. doesn't highlight the other occurance of the signal, neither is the identifier marked as such on its own.

Setting the marker in data_clk at 1.

read more

Entity instantiation causes circular project references.

$
0
0

Hi,
in our projects we make use of libaries and use entity instantiation of components. In the testbenches, that are part of the same lib as the component/dut itself (due to our toolchain from another tool vendor), we need to instantiate the component from the same lib.

read more

Library component declarations do not work in architecture

$
0
0

There appears to be a problem whenever I try to declare library components inside the architecture. Well, actually the problem is when I try to instantiate them.

architecture arch of entity_name isuse lib.package_name.component_name;begin 
  U:component component_name

The declaration is without a problem and sigasi will find the component I referred to without a problem, but sigasi will not properly use that declaration when instantiating. It will complain that it can not find the declaration even though I declared it inside the architecture.

read more

installation of eclipse plug in

$
0
0

I struggle with installing the eclipse plug in. The following message is shown:

Unable to connect to repository http://download.sigasi.com/updates/eclipse-hdt2/content.xml
Unable to connect to repository http://download.sigasi.com/updates/eclipse-hdt2/content.xml
Connection to http://download.sigasi.com refused

furthermore, ../content.xml is not accessible via internet browser, message is: page is not found.

seems like a Sigasi server issue. Could you please fix that or give advice how to get the plug in installed.

regards
alex

Viewing all 127 articles
Browse latest View live