Sigasi fails to recognize the constraints provided by the generics for a package in a record type instantiation.
When the record is used the tool claims it cannot find the instantiation of that signal for all instances where the record declaration is used.
library ieee;use ieee.std_logic_1164.all;use ieee.numeric_std.all;use ieee.math_real.all; package fifo_interface_package isgeneric(INPUT_WORD_WIDTH :natural:=16;OUTPUT_WORD_WIDTH :natural:=16;LOG_2_INPUT_WORDS :natural:=8); type fif